/[MITgcm]/MITgcm/verification/lab_sea/README
ViewVC logotype

Diff of /MITgcm/verification/lab_sea/README

Parent Directory Parent Directory | Revision Log Revision Log | View Revision Graph Revision Graph | View Patch Patch

revision 1.14 by dimitri, Wed Mar 31 02:36:23 2004 UTC revision 1.15 by dimitri, Tue Apr 6 21:42:33 2004 UTC
# Line 60  Y Y Y Y  8 10  9 11 10  9 11 13 10  9  8 Line 60  Y Y Y Y  8 10  9 11 10  9 11 13 10  9  8
60  Instructions for generating and running a 1-CPU experiment  Instructions for generating and running a 1-CPU experiment
61  ==========================================================  ==========================================================
62    
 Generating 1-CPU executable:  
63    cd MITgcm/verification/lab_sea    cd MITgcm/verification/lab_sea
64    mkdir build    mkdir build
65    cd build    cd build
# Line 68  Generating 1-CPU executable: Line 67  Generating 1-CPU executable:
67    ../../../tools/genmake2    ../../../tools/genmake2
68    make depend    make depend
69    make    make
   
 To run Experiment 1:  
70    cd ../input    cd ../input
71    ../build/mitgcmuv > output.txt    ../build/mitgcmuv > output.txt
72    
# Line 78  of exp1 with that from checkpoint51f sea Line 75  of exp1 with that from checkpoint51f sea
75    cd ../../../verification/lab_sea/matlab    cd ../../../verification/lab_sea/matlab
76    matlab    matlab
77    lookat_ice    lookat_ice
78    
79    
80    Instructions for generating and running a 2-CPU experiment on kalpana
81    =====================================================================
82    
83      cd MITgcm/verification/lab_sea
84      mkdir build
85      cd build
86      \cp ../code/*.h ../code/packages.conf .
87      \cp ../code/SIZE.h_mpi SIZE.h
88      ../../../tools/genmake2 -of ../../../tools/build_options/linux_ia64_efc+mpi_altix
89      make depend
90      make
91      cd ../input
92      mpirun -np 2 ../build/mitgcmuv

Legend:
Removed from v.1.14  
changed lines
  Added in v.1.15

  ViewVC Help
Powered by ViewVC 1.1.22