/[MITgcm]/MITgcm/tools/genmake2
ViewVC logotype

Diff of /MITgcm/tools/genmake2

Parent Directory Parent Directory | Revision Log Revision Log | View Revision Graph Revision Graph | View Patch Patch

revision 1.157 by heimbach, Sat Nov 4 21:01:37 2006 UTC revision 1.183 by utke, Wed Jan 14 20:08:40 2009 UTC
# Line 325  find_possible_configs()  { Line 325  find_possible_configs()  {
325    
326      #================================================================      #================================================================
327      #  look for possible C compilers      #  look for possible C compilers
328      tmp="$MITGCM_CC $CC gcc c89 cc c99 mpicc"      tmp="$MITGCM_CC $CC gcc c89 cc c99 mpicc icc"
329      p_CC=      p_CC=
330      for c in $tmp ; do      for c in $tmp ; do
331          rm -f ./genmake_hello.c  ./genmake_hello          rm -f ./genmake_hello.c  ./genmake_hello
# Line 364  EOF Line 364  EOF
364    
365      #================================================================      #================================================================
366      #  look for possible FORTRAN compilers      #  look for possible FORTRAN compilers
367      tmp="$MITGCM_FC $FC efc g77 f77 pgf77 pgf95 ifc f90 f95 mpif77 mpf77 mpxlf95 gfortran"      tmp="$MITGCM_FC $FC efc g77 f77 pgf77 pgf95 ifc ifort f90 f95 mpif77 mpf77 mpxlf95 gfortran g95"
368      p_FC=      p_FC=
369      for c in $tmp ; do      for c in $tmp ; do
370          rm -f ./hello.f ./hello          rm -f ./hello.f ./hello
# Line 601  Usage: "$0" [OPTIONS] Line 601  Usage: "$0" [OPTIONS]
601    
602      -gsl | --gsl      -gsl | --gsl
603            Use GSL to control floating point rounding and precision            Use GSL to control floating point rounding and precision
604        -devel | --devel
605              Add additional warning and debugging flags for development
606    
607      -mpi | --mpi      -mpi | --mpi
608            Include MPI header files and link to MPI libraries            Include MPI header files and link to MPI libraries
# Line 744  void FC_NAMEMANGLE(cloc) ( double *curti Line 746  void FC_NAMEMANGLE(cloc) ( double *curti
746   *curtim = *curtim/1.E6;   *curtim = *curtim/1.E6;
747  }  }
748  EOF  EOF
749      make genmake_tc_1.o >> genmake_warnings 2>&1      COMM="$CC $CFLAGS -c genmake_tc_1.c"
750        echo $COMM >> genmake_warnings
751        $COMM >> genmake_warnings 2>&1
752      RET_C=$?      RET_C=$?
753      cat <<EOF > genmake_tc_2.$FS      cat <<EOF > genmake_tc_2.$FS
754        program hello        program hello
# Line 754  EOF Line 758  EOF
758        print *," HELLO WORLD", wtime        print *," HELLO WORLD", wtime
759        end        end
760  EOF  EOF
761      $FC $FFLAGS -o genmake_tc genmake_tc_2.$FS genmake_tc_1.o >> genmake_warnings 2>&1      COMM="$FC $FFLAGS -o genmake_tc genmake_tc_2.$FS genmake_tc_1.o"
762        echo $COMM >> genmake_warnings
763        $COMM >> genmake_warnings 2>&1
764      RET_F=$?      RET_F=$?
765      test -x ./genmake_tc  &&  ./genmake_tc >> genmake_warnings 2>&1      test -x ./genmake_tc  &&  ./genmake_tc >> genmake_warnings 2>&1
766      RETVAL=$?      RETVAL=$?
# Line 801  void FC_NAMEMANGLE(sigreg) (int * aip) Line 807  void FC_NAMEMANGLE(sigreg) (int * aip)
807      return;      return;
808  }  }
809  EOF  EOF
810      make genmake_tc_1.o >> genmake_warnings 2>&1      COMM="$CC $CFLAGS -c genmake_tc_1.c"
811        echo $COMM >> genmake_warnings
812        $COMM >> genmake_warnings 2>&1
813      RET_C=$?      RET_C=$?
814      cat <<EOF > genmake_tc_2.$FS      cat <<EOF > genmake_tc_2.$FS
815        program hello        program hello
# Line 849  void FC_NAMEMANGLE(setrlstk) () Line 857  void FC_NAMEMANGLE(setrlstk) ()
857      return;      return;
858  }  }
859  EOF  EOF
860      make genmake_tc_1.o >> genmake_warnings 2>&1      COMM="$CC $CFLAGS -c genmake_tc_1.c"
861        echo $COMM >> genmake_warnings
862        $COMM >> genmake_warnings 2>&1
863      RET_C=$?      RET_C=$?
864      cat <<EOF > genmake_tc_2.$FS      cat <<EOF > genmake_tc_2.$FS
865        program hello        program hello
# Line 893  void FC_NAMEMANGLE(tfsize) ( int *nbyte Line 903  void FC_NAMEMANGLE(tfsize) ( int *nbyte
903          *nbyte = -1;          *nbyte = -1;
904  }  }
905  EOF  EOF
906      make genmake_tc_1.o >> genmake_tc.log 2>&1      COMM="$CC $CFLAGS -c genmake_tc_1.c"
907        echo $COMM >> genmake_warnings
908        $COMM >> genmake_tc.log 2>&1
909      RET_C=$?      RET_C=$?
910      cat <<EOF > genmake_tc_2.$FS      cat <<EOF > genmake_tc_2.$FS
911        program hello        program hello
# Line 983  EOF Line 995  EOF
995          if test "x$RET_COMPILE" = x0 ; then          if test "x$RET_COMPILE" = x0 ; then
996              LIBS="$LIBS -lnetcdf"              LIBS="$LIBS -lnetcdf"
997              HAVE_NETCDF=t              HAVE_NETCDF=t
998            else
999            # try again with "-lnetcdff" added to the libs
1000                echo "try again with added '-lnetcdff -lnetcdf'" > genmake_tnc.log
1001                echo "cat genmake_tnc.F | $CPP $DEFINES $INCLUDES > genmake_tnc.$FS \ " >> genmake_tnc.log
1002                echo " &&  $FC $FFLAGS $FOPTIM -c genmake_tnc.$FS \ " >> genmake_tnc.log
1003                echo " &&  $LINK $FFLAGS $FOPTIM -o genmake_tnc genmake_tnc.o $LIBS -lnetcdf" >> genmake_tnc.log
1004                cat genmake_tnc.F | $CPP $DEFINES $INCLUDES > genmake_tnc.$FS 2>/dev/null  \
1005                    &&  $FC $FFLAGS $FOPTIM -c genmake_tnc.$FS >> genmake_tnc.log 2>&1  \
1006                    &&  $LINK $FFLAGS $FOPTIM -o genmake_tnc genmake_tnc.o $LIBS -lnetcdff -lnetcdf >> genmake_tnc.log 2>&1
1007                RET_COMPILE=$?
1008                cat genmake_tnc.log >> genmake_warnings
1009                if test "x$RET_COMPILE" = x0 ; then
1010                    LIBS="$LIBS -lnetcdff -lnetcdf"
1011                    HAVE_NETCDF=t
1012                fi
1013          fi          fi
1014      fi      fi
1015      rm -f genmake_tnc*      rm -f genmake_tnc*
# Line 1018  OPTFILE= Line 1045  OPTFILE=
1045  INCLUDES="-I. $INCLUDES"  INCLUDES="-I. $INCLUDES"
1046  FFLAGS=  FFLAGS=
1047  FOPTIM=  FOPTIM=
1048    FEXTRAFLAGS=
1049    USE_EXTENDED_SRC=
1050    EXTENDED_SRC_FLAG=
1051  CFLAGS=  CFLAGS=
1052  KFLAGS1=  KFLAGS1=
1053  KFLAGS2=  KFLAGS2=
# Line 1036  PAPI= Line 1066  PAPI=
1066  PCL=  PCL=
1067  HPMT=  HPMT=
1068  GSL=  GSL=
1069    DEVEL=
1070  HAVE_TEST_L=  HAVE_TEST_L=
1071    
1072  # DEFINES checked by test compilation or command-line  # DEFINES checked by test compilation or command-line
# Line 1094  TAMC_EXTRA= Line 1125  TAMC_EXTRA=
1125    
1126  #  The following state can be set directly by command-line switches  #  The following state can be set directly by command-line switches
1127  gm_s1="OPTFILE PDEPEND PDEFAULT MAKEFILE PLATFORM ROOTDIR MODS DISABLE ENABLE"  gm_s1="OPTFILE PDEPEND PDEFAULT MAKEFILE PLATFORM ROOTDIR MODS DISABLE ENABLE"
1128  gm_s2="FC CPP IEEE TS PAPIS PCLS PAPI PCL HPMT GSL MPI JAM DUMPSTATE STANDARDDIRS"  gm_s2="FC CPP IEEE TS PAPIS PCLS PAPI PCL HPMT GSL DEVEL MPI JAM DUMPSTATE STANDARDDIRS"
1129    
1130  #  The following state is not directly set by command-line switches  #  The following state is not directly set by command-line switches
1131  gm_s3="LN S64 KPP LINK PACKAGES MAKEDEPEND PDEPEND PDEFAULT INCLUDES FFLAGS FOPTIM "  gm_s3="LN S64 KPP LINK PACKAGES MAKEDEPEND PDEPEND PDEFAULT INCLUDES FFLAGS FOPTIM FEXTRAFLAGS"
1132  gm_s4="CFLAGS KFLAGS1 KFLAGS2 LIBS KPPFILES NOOPTFILES NOOPTFLAGS"  gm_s4="CFLAGS KFLAGS1 KFLAGS2 LIBS KPPFILES NOOPTFILES NOOPTFLAGS"
1133  gm_s5="TOOLSDIR SOURCEDIRS INCLUDEDIRS PWD MAKE THISHOST THISUSER THISDATE THISVER MACHINE"  gm_s5="TOOLSDIR SOURCEDIRS INCLUDEDIRS PWD MAKE THISHOST THISUSER THISDATE THISVER MACHINE"
1134  gm_s6="EXECUTABLE EXEHOOK EXEDIR PACKAGES_CONF"  gm_s6="EXECUTABLE EXEHOOK EXEDIR PACKAGES_CONF"
# Line 1124  EOF Line 1155  EOF
1155    
1156  echo "===  Processing options files and arguments  ==="  echo "===  Processing options files and arguments  ==="
1157  gm_local="genmake_local"  gm_local="genmake_local"
 for i in . $MODS ; do  
     if test -r $i/$gm_local ; then  
         . $i/$gm_local  
         break  
     fi  
 done  
1158  printf "  getting local config information:  "  printf "  getting local config information:  "
1159  if test -f $gm_local ; then  if test -f $gm_local ; then
1160      echo "using $gm_local"      echo "using $gm_local"
# Line 1292  for ac_option in "$@" ; do Line 1317  for ac_option in "$@" ; do
1317          -gsl | --gsl)          -gsl | --gsl)
1318              GSL=true ;;              GSL=true ;;
1319    
1320            -devel | --devel)
1321                DEVEL=true ;;
1322    
1323          -mpi | --mpi)          -mpi | --mpi)
1324              MPI=true ;;              MPI=true ;;
1325          -mpi=* | --mpi=*)          -mpi=* | --mpi=*)
# Line 1340  done Line 1368  done
1368  if test -f ./.genmakerc ; then  if test -f ./.genmakerc ; then
1369      echo      echo
1370      echo "WARNING: genmake2 has detected a copy of the old-style \"./.genmakerc\""      echo "WARNING: genmake2 has detected a copy of the old-style \"./.genmakerc\""
1371      echo "  file.  This file format is no longer supported.  Please see:"      echo "  file.  This file format is no longer supported.  For directions on"
1372      echo      echo "  how to setup and use the new \"genmake2\" script, please see:"
1373      echo "    http://mitgcm.org/devel_HOWTO/"      echo "    http://mitgcm.org/devel_HOWTO/"
1374      echo      echo "  and send an email to MITgcm-support@mitgcm.org if you need help."
1375      echo "  for directions on how to setup and use the new \"genmake2\" input"      echo "WARNING: ignore \"./.genmakerc\" and continue."
     echo "  files and send an email to MITgcm-support@mitgcm.org if you want help."  
1376      echo      echo
1377  fi  fi
1378    
# Line 1377  if test ! -d ${ROOTDIR} ; then Line 1404  if test ! -d ${ROOTDIR} ; then
1404  fi  fi
1405    
1406  #  Find the MITgcm ${THISVER}  #  Find the MITgcm ${THISVER}
1407  if test -f "${ROOTDIR}/doc/tag-index" ; then  version_file="${ROOTDIR}/doc/tag-index"
1408      THISVER=`grep '^checkpoint' ${ROOTDIR}/doc/tag-index | head -1`  if test -f $version_file ; then
1409        THISVER=`grep '^checkpoint' $version_file | head -1`
1410    #-  remove ./BUILD_INFO.h file if older than version_file
1411        if test -f ./BUILD_INFO.h -a ./BUILD_INFO.h -ot $version_file ; then
1412            echo "  remove ./BUILD_INFO.h (older than ${version_file})"
1413            rm -f ./BUILD_INFO.h
1414        fi
1415  fi  fi
1416    
1417  if test "x$MAKEFILE" = x ; then  if test "x$MAKEFILE" = x ; then
# Line 1542  if test ! "x$TS" = x ; then Line 1575  if test ! "x$TS" = x ; then
1575        else        else
1576              DEFINES="$DEFINES -DTIME_PER_TIMESTEP"              DEFINES="$DEFINES -DTIME_PER_TIMESTEP"
1577        fi        fi
1578          PACKAGES="$PACKAGES showflops"
1579  fi  fi
1580  if test ! "x$PAPIS" = x ; then  if test ! "x$PAPIS" = x ; then
1581        echo "  Turning on PAPI flop summary per timestep"        echo "  Turning on PAPI flop summary per timestep"
# Line 1553  if test ! "x$PAPIS" = x ; then Line 1587  if test ! "x$PAPIS" = x ; then
1587        fi        fi
1588        INCLUDES="$INCLUDES $PAPIINC"        INCLUDES="$INCLUDES $PAPIINC"
1589        LIBS="$LIBS $PAPILIB"        LIBS="$LIBS $PAPILIB"
1590          PACKAGES="$PACKAGES showflops"
1591  fi  fi
1592  if test ! "x$PCLS" = x ; then  if test ! "x$PCLS" = x ; then
1593        echo "  Turning on PCL counter summary per timestep"        echo "  Turning on PCL counter summary per timestep"
# Line 1564  if test ! "x$PCLS" = x ; then Line 1599  if test ! "x$PCLS" = x ; then
1599        fi        fi
1600        INCLUDES="$INCLUDES $PCLINC"        INCLUDES="$INCLUDES $PCLINC"
1601        LIBS="$LIBS $PCLLIB"        LIBS="$LIBS $PCLLIB"
1602          PACKAGES="$PACKAGES showflops"
1603  fi  fi
1604  if test ! "x$PAPI" = x ; then  if test ! "x$PAPI" = x ; then
1605        if test ! "x$PAPIS" = x ; then        if test ! "x$PAPIS" = x ; then
# Line 1612  if test ! "x$GSL" = x ; then Line 1648  if test ! "x$GSL" = x ; then
1648        INCLUDES="$INCLUDES $GSLINC"        INCLUDES="$INCLUDES $GSLINC"
1649        LIBS="$LIBS $GSLLIB"        LIBS="$LIBS $GSLLIB"
1650  fi  fi
1651    #- if USE_EXTENDED_SRC is set, add EXTENDED_SRC_FLAG to FFLAGS :
1652    if test ! "x$USE_EXTENDED_SRC" = x ; then
1653          FFLAGS="$FFLAGS $EXTENDED_SRC_FLAG"
1654          F90FIXEDFORMAT="$F90FIXEDFORMAT $EXTENDED_SRC_FLAG"
1655    fi
1656    
1657  printf "\n===  Checking system libraries  ===\n"  printf "\n===  Checking system libraries  ===\n"
1658  printf "  Do we have the system() command using $FC...  "  printf "  Do we have the system() command using $FC...  "
# Line 1943  done Line 1984  done
1984  rm -f ./.tmp_pack  rm -f ./.tmp_pack
1985  echo "    packages are:  $PACKAGES"  echo "    packages are:  $PACKAGES"
1986    
1987  #  Check availability of NetCDF and then either build the MNC template  #  Check for package MNC: if NetCDF is available, then build the MNC
1988  #  files or delete mnc from the list of available packages.  #  template files ; otherwise, delete mnc from the list of packages.
1989  echo $PACKAGES | grep ' mnc ' > /dev/null 2>&1  echo $PACKAGES | grep ' mnc ' > /dev/null 2>&1
1990  RETVAL=$?  RETVAL=$?
1991  if test "x$RETVAL" = x0 ; then  if test "x$RETVAL" = x0 ; then
# Line 1978  EOF Line 2019  EOF
2019      fi      fi
2020  fi  fi
2021    
2022    #  Check for package PROFILES: if NetCDF is not available,
2023    #  then delete profiles from the list of available packages.
2024    echo $PACKAGES | grep ' profiles ' > /dev/null 2>&1
2025    RETVAL=$?
2026    if test "x$RETVAL" = x0 ; then
2027        if test "x$HAVE_NETCDF" != xt ; then
2028            cat <<EOF
2029    
2030    *********************************************************************
2031    WARNING: the "profiles" package was enabled but tests failed to
2032      compile NetCDF applications.  Please check that:
2033    
2034      1) NetCDF is correctly installed for this compiler and
2035      2) the LIBS variable (within the "optfile") specifies the correct
2036           NetCDF library to link against.
2037    
2038      Due to this failure, the "profiles" package is now DISABLED.
2039    *********************************************************************
2040    
2041    EOF
2042            PACKAGES=`echo $PACKAGES | sed -e 's/profiles//g'`
2043            DISABLE="$DISABLE profiles"
2044        fi
2045    fi
2046    
2047  echo "  applying package dependency rules"  echo "  applying package dependency rules"
2048  ck=  ck=
2049  while test "x$ck" != xtt ; do  while test "x$ck" != xtt ; do
2050      i=0      i=0
2051      # rtot=${#PNAME[@]}      # rtot=${#PNAME[@]}
2052      rtot=$nname      rtot=$nname
2053      while test $i -lt $rtot ; do      while test $i -le $rtot ; do
2054    
2055          #  Is $pname in the current $PACKAGES list?          #  Is $pname in the current $PACKAGES list?
2056          #  pname=${PNAME[$i]}          #  pname=${PNAME[$i]}
# Line 2018  while test "x$ck" != xtt ; do Line 2084  while test "x$ck" != xtt ; do
2084    
2085          #  Do we need to add $dname according to the dependency rules?          #  Do we need to add $dname according to the dependency rules?
2086          if test "x$pin" = xt -a "x$plus" = "x+" -a "x$din" = xf ; then          if test "x$pin" = xt -a "x$plus" = "x+" -a "x$din" = xf ; then
2087                #echo "   " $pname ": need to add :" $dname
2088              in_dis="f"              in_dis="f"
2089              for dis in $DISABLE ; do              for dis in $DISABLE ; do
2090                  if test "x$dis" = "x$dname" ; then                  if test "x$dis" = "x$dname" ; then
# Line 2162  fi Line 2229  fi
2229    
2230  #  Here, we build the list of files to be "run through" the adjoint  #  Here, we build the list of files to be "run through" the adjoint
2231  #  compiler.  #  compiler.
2232  if test -f ./ad_files ; then  if test -f ./adSrcFiles.tmp ; then
2233      rm -f ./ad_files      rm -f ./adSrcFiles.tmp
2234  fi  fi
2235  echo "  Creating the list of files for the adjoint compiler."  echo "  Creating the list of files for the adjoint compiler."
2236  for i in $SOURCEDIRS ; do  for i in $SOURCEDIRS ; do
2237      list_files=`( cd $i && ls -1 *.list 2>/dev/null )`      list_files=`( cd $i && ls -1 *.list 2>/dev/null )`
2238      for j in $list_files ; do      for j in $list_files ; do
2239          cat $i/$j >> ad_files          cat $i/$j >> adSrcFiles.tmp
2240      done      done
2241  done  done
2242  if test ! "x"$FS = "x.f" ; then  if test ! "x"$FS = "x.f" ; then
2243      cat ad_files | sed -e "s/\.f/.$FS/g" > ad_files_f      cat adSrcFiles.tmp | sed -e "s/\.f/.$FS/g" > adSrcFiles.tmp_f
2244      mv -f ad_files_f ad_files      mv -f adSrcFiles.tmp_f adSrcFiles.tmp
2245  fi  fi
2246    
2247  echo  echo
# Line 2232  if test ! -r ".links.tmp/foo" ; then Line 2299  if test ! -r ".links.tmp/foo" ; then
2299      exit 1      exit 1
2300  fi  fi
2301  rm -f .links.tmp/foo  rm -f .links.tmp/foo
2302    
2303    if test "x$OPENAD" != x ; then
2304        OAD_DONT_COMPILE="/dev/null"
2305        OAD_DONT_TRANSFORM="/dev/null"
2306        OAD_KEEP_ORIGINAL="/dev/null"
2307        OAD_CB2M_FILES="/dev/null"
2308        echo "  looking for dontCompile file:  "
2309        for i in "." $MODS ; do
2310            if test -r $i"/dontCompile" ; then
2311                OAD_DONT_COMPILE=$i"/dontCompile"
2312                echo "     found $OAD_DONT_COMPILE"
2313                break
2314            fi
2315        done
2316        echo "  looking for dontTransform file:  "
2317        for i in "." $MODS ; do
2318            if test -r $i"/dontTransform" ; then
2319                OAD_DONT_TRANSFORM=$i"/dontTransform"
2320                echo "     found $OAD_DONT_TRANSFORM"
2321                break
2322            fi
2323        done
2324        echo "  looking for keepOriginal file:  "
2325        for i in "." $MODS ; do
2326            if test -r $i"/keepOriginal" ; then
2327                OAD_KEEP_ORIGINAL=$i"/keepOriginal"
2328                echo "     found $OAD_KEEP_ORIGINAL"
2329                break
2330            fi
2331        done
2332        echo "  looking for cb2mFiles:  "
2333        for i in "." $MODS ; do
2334            if test -r $i"/cb2mFiles" ; then
2335                OAD_CB2M_FILES=$i"/cb2mFiles"
2336                echo "     found $OAD_CB2M_FILES"
2337                break
2338            fi
2339        done
2340        echo "   OpenAD exceptions:  "
2341    fi
2342    
2343  echo "# This section creates symbolic links" > srclinks.tmp  echo "# This section creates symbolic links" > srclinks.tmp
2344  echo "" >> srclinks.tmp  echo "" >> srclinks.tmp
2345  printf 'SRCFILES = '    > srclist.inc  printf 'F77_SRC_FILES = ' > F77srclist.tmp
2346  printf 'CSRCFILES = '   > csrclist.inc  printf 'NON_AD_F77_SRC_FILES = ' > nonADF77srclist.tmp
2347  printf 'F90SRCFILES = ' > f90srclist.inc  printf 'C_SRC_FILES = '   > csrclist.tmp
2348  printf 'HEADERFILES = ' > hlist.inc  printf 'F90_SRC_FILES = ' > F90srclist.tmp
2349  printf 'AD_FLOW_FILES = ' > ad_flow_files.inc  printf 'H_SRC_FILES = '   > hsrclist.tmp
2350    printf 'AD_FLOW_FILES = ' > ad_flow_files.tmp
2351  alldirs="$SOURCEDIRS $INCLUDEDIRS ."  alldirs="$SOURCEDIRS $INCLUDEDIRS ."
2352  for d in $alldirs ; do  for d in $alldirs ; do
2353      deplist=      deplist=
2354      sfiles=`( cd $d; echo *.[h,c,F] *.flow )`      sfiles=`( cd $d; echo *.[h,c,F] *.flow )`
2355      sfiles=`( echo $sfiles; cd $d; echo *.F90 )`      sfiles=`( echo $sfiles; cd $d; echo *.F90 )`
2356        if test "x$OPENAD" != x ; then
2357            sfiles=`( echo $sfiles | grep -v _cb2m\. )`
2358        fi
2359      for sf in $sfiles ; do      for sf in $sfiles ; do
2360          if test ! -r ".links.tmp/$sf" ; then          if test ! -r ".links.tmp/$sf" ; then
2361              if test -f "$d/$sf" ; then              if test -f "$d/$sf" ; then
# Line 2278  for d in $alldirs ; do Line 2390  for d in $alldirs ; do
2390                  if test "x$ignore_f" = xf ; then                  if test "x$ignore_f" = xf ; then
2391                      extn=`echo $sf | $AWK -F. '{print $NF}'`                      extn=`echo $sf | $AWK -F. '{print $NF}'`
2392                      case $extn in                      case $extn in
2393                          F)                        F)
2394                              echo    " \\"  >> srclist.inc                          echo    " \\"  >> F77srclist.tmp
2395                              printf " $sf" >> srclist.inc                          printf " $sf" >> F77srclist.tmp
2396                              ;;                          if test "x$OPENAD" != x ; then
2397                          F90)                              basename=${sf%%.F}
2398                              echo    " \\"  >> f90srclist.inc                              isAD=`egrep ^$basename.f'[  ]*' adSrcFiles.tmp`
2399                              printf " $sf" >> f90srclist.inc                              if test -z "$isAD" ; then
2400                              ;;                                  toBeIgnored=`egrep ^$basename'[      ]*' ${OAD_DONT_COMPILE}`
2401                          c)                                  if test -z "$toBeIgnored" ; then
2402                              echo    " \\"  >> csrclist.inc                                      echo    " \\"  >> nonADF77srclist.tmp
2403                              printf " $sf" >> csrclist.inc                                      printf " $sf" >> nonADF77srclist.tmp
2404                              ;;                                  else
2405                          h)                                      echo "    not to be compiled   :  $sf"
2406                              echo    " \\"  >> hlist.inc                                  fi
2407                              printf " $sf" >> hlist.inc                              else # file is initially listed as an AD file we want to exclude it
2408                              ;;                                   # or we want to retain the untransformed version
2409                          flow)                                  notToBeTransformed=`egrep ^$basename'[      ]*' ${OAD_DONT_TRANSFORM}`
2410                              echo    " \\"  >> ad_flow_files.inc                                  untransformedVersionToBeKept=`egrep ^$basename'[      ]*' ${OAD_KEEP_ORIGINAL}`
2411                              printf " $sf" >> ad_flow_files.inc                                  if test -n "$notToBeTransformed"; then
2412                              ;;                                      echo "    not to be transformed:  $sf"
2413                      esac                                  fi
2414                  fi                                  if test -n "$untransformedVersionToBeKept" ; then
2415                                        echo "    original to be kept  :  $sf"
2416                                    fi    
2417                                    if test -n "$notToBeTransformed" -o -n "$untransformedVersionToBeKept" ; then
2418                                        echo    " \\"  >> nonADF77srclist.tmp
2419                                        printf " $sf" >> nonADF77srclist.tmp
2420                                    fi
2421                                fi
2422                            fi
2423                            ;;
2424                        F90)
2425                            echo    " \\"  >> F90srclist.tmp
2426                            printf " $sf" >> F90srclist.tmp
2427                            ;;
2428                        c)
2429                            echo    " \\"  >> csrclist.tmp
2430                            printf " $sf" >> csrclist.tmp
2431                            ;;
2432                        h)
2433                            echo    " \\"  >> hsrclist.tmp
2434                            printf " $sf" >> hsrclist.tmp
2435                            ;;
2436                        flow)
2437                            echo    " \\"  >> ad_flow_files.tmp
2438                            printf " $sf" >> ad_flow_files.tmp
2439                            ;;
2440                       esac
2441                    fi
2442              fi              fi
2443          fi          fi
2444      done      done
2445      if test "x$deplist" != x ; then      if test "x$deplist" != x ; then
2446          echo "" >> srclinks.tmp        if test "$d" != "." ; then
2447            echo "" >> srclinks.tmp
2448          echo "#  These files are linked from $d" >> srclinks.tmp          echo "#  These files are linked from $d" >> srclinks.tmp
2449          echo "$deplist :" >> srclinks.tmp          echo "$deplist :" >> srclinks.tmp
2450          printf "\t\$(LN) %s/\$@ \$@\n" $d >> srclinks.tmp  # We need to make sure that the link isn't already there.
2451    # This may happen when make thinks that a header file has to be "remade"
2452    # because a module it depends on has changed.  In this case we do nothing.
2453            printf "\tif [ ! -L \$@ ]; then \$(LN) %s/\$@ \$@; fi\n" $d >> srclinks.tmp
2454          fi
2455      fi      fi
2456  done  done
2457  rm -rf .links.tmp  rm -rf .links.tmp
2458  echo "" >> srclist.inc  echo "" >> F77srclist.tmp
2459  echo "" >> csrclist.inc  echo "" >> nonADF77srclist.tmp
2460  echo "" >> f90srclist.inc  echo "" >> csrclist.tmp
2461  echo "" >> hlist.inc  echo "" >> F90srclist.tmp
2462  echo "" >> ad_flow_files.inc  echo "" >> hsrclist.tmp
2463    echo "" >> ad_flow_files.tmp
2464    
2465  if test -f $MAKEFILE ; then  if test -f $MAKEFILE ; then
2466      mv -f $MAKEFILE "$MAKEFILE.bak"      mv -f $MAKEFILE "$MAKEFILE.bak"
# Line 2410  INCLUDES = ${INCLUDES} Line 2555  INCLUDES = ${INCLUDES}
2555  KFLAGS1 = ${KFLAGS1}  KFLAGS1 = ${KFLAGS1}
2556  KFLAGS2 = ${KFLAGS2}  KFLAGS2 = ${KFLAGS2}
2557  # Optim./debug for FC  # Optim./debug for FC
2558  FFLAGS = ${FFLAGS}  FFLAGS = ${FFLAGS} ${FEXTRAFLAGS}
2559  FOPTIM = ${FOPTIM}  FOPTIM = ${FOPTIM}
2560  # Optim./debug for FC  # Optim./debug for FC
2561  F90FLAGS = ${F90FLAGS}  F90FLAGS = ${F90FLAGS}
2562  F90OPTIM = ${F90OPTIM}  F90OPTIM = ${F90OPTIM}
2563    F90FIXEDFORMAT = ${F90FIXEDFORMAT}
2564  # Flags for CC  # Flags for CC
2565  CFLAGS = ${CFLAGS}  CFLAGS = ${CFLAGS}
2566  # Files that should not be optimized  # Files that should not be optimized
# Line 2427  MAKEFILE=${MAKEFILE} Line 2573  MAKEFILE=${MAKEFILE}
2573    
2574  EOF  EOF
2575    
2576  cat srclist.inc       >> $MAKEFILE  cat F77srclist.tmp      >> $MAKEFILE
2577  cat csrclist.inc      >> $MAKEFILE  cat nonADF77srclist.tmp >> $MAKEFILE
2578  cat f90srclist.inc    >> $MAKEFILE  cat csrclist.tmp        >> $MAKEFILE
2579  cat hlist.inc         >> $MAKEFILE  cat F90srclist.tmp      >> $MAKEFILE
2580  cat ad_flow_files.inc >> $MAKEFILE  cat hsrclist.tmp        >> $MAKEFILE
2581    cat ad_flow_files.tmp   >> $MAKEFILE
2582    
2583    rm -f F77srclist.tmp nonADF77srclist.tmp csrclist.tmp F90srclist.tmp hsrclist.tmp ad_flow_files.tmp
2584    
2585  echo >> $MAKEFILE  echo >> $MAKEFILE
2586  echo 'F77FILES =  $(SRCFILES:.F=.'$FS')'      >> $MAKEFILE  
2587  echo 'F90FILES =  $(F90SRCFILES:.F90=.'$FS90')' >> $MAKEFILE  # add definitions for preprocessed sources
2588  echo 'OBJFILES =  $(SRCFILES:.F=.o) $(CSRCFILES:.c=.o) $(F90SRCFILES:.F90=.o)' >> $MAKEFILE  # and note that not all systems allow case sensitive extensions
2589    # hence the $FS and $FS90 here.
2590    # for fixed format f90 files we use ff90 or FF90 resp
2591    # but these are not expected to be the original source files
2592    
2593    echo 'F77_PP_SRC_FILES = $(F77_SRC_FILES:.F=.'$FS')'      >> $MAKEFILE
2594    echo 'F90_PP_SRC_FILES = $(F90_SRC_FILES:.F90=.'$FS90')' >> $MAKEFILE
2595    echo 'OBJFILES= $(F77_SRC_FILES:.F=.o) $(C_SRC_FILES:.c=.o) $(F90_SRC_FILES:.F90=.o)' >> $MAKEFILE
2596    echo 'FLOFILES =  $(AD_FLOW_FILES:.flow=.flowdir)' >> $MAKEFILE
2597  echo >> $MAKEFILE  echo >> $MAKEFILE
2598  echo '.SUFFIXES:' >> $MAKEFILE  echo '.SUFFIXES:' >> $MAKEFILE
2599  echo '.SUFFIXES: .o .'$FS' .p .F .c .'$FS90' .F90' >> $MAKEFILE  echo '.SUFFIXES: .o .'$FS' .p .F .c .f'$FS90' .'$FS90' .FF90 .F90 .flowdir .flow' >> $MAKEFILE
 rm -f srclist.inc csrclist.inc hlist.inc flist.tmp clist.tmp f90srclist.inc  
 rm -f ad_flow_files.inc  
2600    
2601  cat >>$MAKEFILE <<EOF  cat >>$MAKEFILE <<EOF
2602    
2603  all: \$(EXECUTABLE)  all: \$(EXECUTABLE)
2604  \$(EXECUTABLE): \$(SPECIAL_FILES) \$(SRCFILES) \$(CSRCFILES) \$(HEADERFILES) \$(F90SRCFILES) \$(OBJFILES) \$(EMBEDDED_FILES)  \$(EXECUTABLE): \$(SPECIAL_FILES) \$(F77_SRC_FILES) \$(C_SRC_FILES) \$(H_SRC_FILES) \$(F90_SRC_FILES) \$(OBJFILES) \$(EMBEDDED_FILES)
2605          @echo Creating \$@ ...          @echo Creating \$@ ...
2606          \$(LINK) -o \$@ \$(FFLAGS) \$(FOPTIM) \$(OBJFILES) \$(LIBS)          \$(LINK) -o \$@ \$(FFLAGS) \$(FOPTIM) \$(OBJFILES) \$(LIBS)
2607  depend:  depend:
2608          @make links          @make links
2609          \$(MAKEDEPEND) -o .$FS \$(DEFINES) \$(INCLUDES) \$(SRCFILES)          \$(MAKEDEPEND) -o .$FS \$(DEFINES) \$(INCLUDES) \$(F77_SRC_FILES)
2610          \$(TOOLSDIR)/f90mkdepend >> \$(MAKEFILE)          \$(TOOLSDIR)/f90mkdepend >> \$(MAKEFILE)
2611          -rm -f makedepend.out          -rm -f makedepend.out
2612    
# Line 2458  lib: libmitgcmuv.a Line 2614  lib: libmitgcmuv.a
2614    
2615  libmitgcmuv.a: \$(OBJFILES)  libmitgcmuv.a: \$(OBJFILES)
2616          ar rcv libmitgcmuv.a \$(OBJFILES)          ar rcv libmitgcmuv.a \$(OBJFILES)
2617            ar d   libmitgcmuv.a main.o
2618    
2619  links: \$(SRCFILES) \$(CSRCFILES) \$(HEADERFILES) \$(F90SRCFILES) \$(SPECIAL_FILES)  links: \$(F77_SRC_FILES) \$(C_SRC_FILES) \$(H_SRC_FILES) \$(F90_SRC_FILES) \$(SPECIAL_FILES)
2620    
2621  small_f: \$(F77FILES) \$(F90FILES)  small_f: \$(F77_PP_SRC_FILES) \$(F90_PP_SRC_FILES)
2622    
2623  output.txt: \$(EXECUTABLE)  output.txt: \$(EXECUTABLE)
2624          @printf 'running ... '          @printf 'running ... '
# Line 2470  output.txt: \$(EXECUTABLE) Line 2627  output.txt: \$(EXECUTABLE)
2627  clean:  clean:
2628          -rm -rf *.p *.$FS90 *.mod ${RMFILES} work.{pc,pcl} *.template          -rm -rf *.p *.$FS90 *.mod ${RMFILES} work.{pc,pcl} *.template
2629          -rm -rf *.o          -rm -rf *.o
2630          -rm -rf *.$FS          -rm -rf *.$FS *.flowdir
2631            -rm -rf *.f$FS90 *_mod.h *_mod.F90 *.FF90 *.mod-whirl ad_input*
2632            -rm -rf temp.sed
2633  Clean:  Clean:
2634          @make clean          @make clean
2635          @make cleanlinks          @make cleanlinks
2636          -rm -f \$(SPECIAL_FILES)          -rm -f \$(SPECIAL_FILES)
2637          -rm -f genmake_state genmake_*optfile genmake_warnings make.log run.log *.bak          -rm -f genmake_state genmake_*optfile genmake_warnings make.log run.log f90mkdepend.log *.bak
2638            -rm -f taf_command taf_output taf_ad.log taf_ad_flow.log
2639  CLEAN:  CLEAN:
2640          @make Clean          @make Clean
2641          -find \$(EXEDIR) -name "*.meta" -exec rm {} \;          -find \$(EXEDIR) -name "*.meta" -exec rm {} \;
2642          -find \$(EXEDIR) -name "*.data" -exec rm {} \;          -find \$(EXEDIR) -name "*.data" -exec rm {} \;
2643          -find \$(EXEDIR) -name "fort.*" -exec rm {} \;          -find \$(EXEDIR) -name "fort.*" -exec rm {} \;
2644          -rm -f \$(EXECUTABLE) \$(EXE_AD) *.txt STD* *diagnostics.log datetime          -rm -f \$(EXECUTABLE) \$(EXE_AD) *.txt STD* *diagnostics.log datetime
2645            -rm -f *_MIT_CE_000.opt0000 costfunction*0000
2646          -rm -rf mnc_test_*          -rm -rf mnc_test_*
2647    
2648  #eh3 Makefile: makefile  #eh3 Makefile: makefile
# Line 2490  makefile: Line 2651  makefile:
2651  cleanlinks:  cleanlinks:
2652          -find . -type l -exec rm {} \;          -find . -type l -exec rm {} \;
2653    
2654  # Special targets (SPECIAL_FILES) which are create by make  # Special targets (SPECIAL_FILES) which are created by make
2655  ${PACKAGES_DOT_H}:  ${PACKAGES_DOT_H}:
2656          @echo Creating \$@ ...          @echo Creating \$@ ...
2657          @$BASH\$(TOOLSDIR)/convert_cpp_cmd2defines "Warning - this file is automatically generated - do NOT edit" -bPACKAGES_CONFIG_H "Disabled packages:" \$(DISABLED_PACKAGES) " " "Enabled packages:" \$(ENABLED_PACKAGES) > \$@          @$BASH\$(TOOLSDIR)/convert_cpp_cmd2defines "Warning - this file is automatically generated - do NOT edit" -bPACKAGES_CONFIG_H "Disabled packages:" \$(DISABLED_PACKAGES) " " "Enabled packages:" \$(ENABLED_PACKAGES) > \$@
# Line 2515  if test "x$EMBED_SRC" = xt ; then Line 2676  if test "x$EMBED_SRC" = xt ; then
2676    
2677  decode_files.o : EMBEDDED_FILES.h  decode_files.o : EMBEDDED_FILES.h
2678    
2679  ##  \$(F77FILES)  ##  \$(F77_PP_SRC_FILES)
2680  all_fF.tar.gz : \$(SPECIAL_FILES) \$(SRCFILES) \$(CSRCFILES) \$(HEADERFILES) \$(F90SRCFILES) \$(F77FILES) Makefile  all_fF.tar.gz : \$(SPECIAL_FILES) \$(F77_SRC_FILES) \$(C_SRC_FILES) \$(H_SRC_FILES) \$(F90_SRC_FILES) \$(F77_PP_SRC_FILES) Makefile
2681          @echo Creating \$@ ...          @echo Creating \$@ ...
2682          -tar -hcf all_fF.tar \$(SPECIAL_FILES) \$(SRCFILES) \$(CSRCFILES) \$(HEADERFILES) \$(F90SRCFILES) \$(F77FILES) Makefile          -tar -hcf all_fF.tar \$(SPECIAL_FILES) \$(F77_SRC_FILES) \$(C_SRC_FILES) \$(H_SRC_FILES) \$(F90_SRC_FILES) \$(F77_PP_SRC_FILES) Makefile
2683          -rm -f all_fF.tar.gz          -rm -f all_fF.tar.gz
2684          -gzip all_fF.tar          -gzip all_fF.tar
2685    
# Line 2542  cat >>$MAKEFILE <<EOF Line 2703  cat >>$MAKEFILE <<EOF
2703          \$(FC) \$(FFLAGS) \$(FOPTIM) -c \$<          \$(FC) \$(FFLAGS) \$(FOPTIM) -c \$<
2704  .F90.$FS90:  .F90.$FS90:
2705          \$(CPP) \$(DEFINES) \$(INCLUDES) > \$@          \$(CPP) \$(DEFINES) \$(INCLUDES) > \$@
2706    .FF90.f$FS90:
2707            \$(CPP) \$(DEFINES) \$(INCLUDES) > \$@
2708  .$FS90.o:  .$FS90.o:
2709          \$(F90C) \$(F90FLAGS) \$(F90OPTIM) -c \$<          \$(F90C) \$(F90FLAGS) \$(F90OPTIM) -c \$<
2710    .f$FS90.o:
2711            cp \$< \$(basename  \$<).f90
2712            \$(F90C) \$(F90FLAGS) \$(F90OPTIM) -c \$(F90FIXEDFORMAT) \$(basename  \$<).f90
2713  .c.o:  .c.o:
2714          \$(CC) \$(CFLAGS) \$(DEFINES) \$(INCLUDES) -c \$<          \$(CC) \$(CFLAGS) \$(DEFINES) \$(INCLUDES) -c \$<
2715    .flow.flowdir:
2716            \$(CPP) \$(DEFINES) \$(INCLUDES) > \$@
2717    
2718  # Special exceptions that use the ( .F - .p - .$FS - .o ) rule-chain  # Special exceptions that use the ( .F - .p - .$FS - .o ) rule-chain
2719  .F.p:  .F.p:
# Line 2553  cat >>$MAKEFILE <<EOF Line 2721  cat >>$MAKEFILE <<EOF
2721  .p.$FS:  .p.$FS:
2722          \$(KPP) \$(KFLAGS1)\$@ \$(KFLAGS2) \$<          \$(KPP) \$(KFLAGS1)\$@ \$(KFLAGS2) \$<
2723    
2724    EOF
2725    
2726  #=========================================  #=========================================
2727  #===  Automatic Differentiation Rules  ===  #===  Automatic Differentiation Rules  ===
2728    #===  for TAMC/TAF  ======================
2729    
2730    if test "x$OPENAD" == x ; then
2731    
2732    cat >>$MAKEFILE <<EOF
2733    
2734  TAMC           = ${TAMC}  TAMC           = ${TAMC}
2735  TAF            = ${TAF}  TAF            = ${TAF}
# Line 2578  done Line 2753  done
2753  echo "  Add the source list for AD code generation"  echo "  Add the source list for AD code generation"
2754  echo >> $MAKEFILE  echo >> $MAKEFILE
2755  printf "AD_FILES = " >> $MAKEFILE  printf "AD_FILES = " >> $MAKEFILE
2756  AD_FILES=`cat ad_files`  AD_FILES=`cat adSrcFiles.tmp`
2757  for i in $AD_FILES ; do  for i in $AD_FILES ; do
2758      echo    " \\" >> $MAKEFILE      echo    " \\" >> $MAKEFILE
2759      printf " $i" >> $MAKEFILE      printf " $i" >> $MAKEFILE
2760  done  done
2761  echo >> $MAKEFILE  echo >> $MAKEFILE
2762  rm -f ad_files  rm -f adSrcFiles.tmp
2763    
2764  cat >>$MAKEFILE <<EOF  cat >>$MAKEFILE <<EOF
2765    
# Line 2593  adall: \$(EXE_AD) Line 2768  adall: \$(EXE_AD)
2768  adtaf: ad_taf_output.$FS  adtaf: ad_taf_output.$FS
2769  adtamc: ad_tamc_output.$FS  adtamc: ad_tamc_output.$FS
2770    
2771  ad_input_code.$FS: \$(AD_FILES) \$(HEADERFILES)  ad_input_code.$FS: \$(AD_FILES) \$(H_SRC_FILES)
2772          @$BASH\$(TOOLSDIR)/convert_cpp_cmd2defines "Warning - this file is automatically generated - do NOT edit" -DALLOW_ADJOINT_RUN -UALLOW_TANGENTLINEAR_RUN -UALLOW_ECCO_OPTIMIZATION > ad_config.template          @$BASH\$(TOOLSDIR)/convert_cpp_cmd2defines "Warning - this file is automatically generated - do NOT edit" -DALLOW_ADJOINT_RUN -UALLOW_TANGENTLINEAR_RUN -UALLOW_ECCO_OPTIMIZATION > ad_config.template
2773          cmp ad_config.template AD_CONFIG.h || cat ad_config.template > AD_CONFIG.h          cmp ad_config.template AD_CONFIG.h || cat ad_config.template > AD_CONFIG.h
2774          -rm -f ad_config.template          -rm -f ad_config.template
2775          @make \$(F77FILES)          @make \$(F77_PP_SRC_FILES)
2776          @make \$(AD_FLOW_FILES)          @make \$(FLOFILES)
2777          cat \$(AD_FLOW_FILES) \$(AD_FILES) > ad_input_code.$FS          cat \$(FLOFILES) \$(AD_FILES) > ad_input_code.$FS
2778    
2779  ad_taf_output.$FS: ad_input_code.$FS  ad_taf_output.$FS: ad_input_code.$FS
2780          \$(TAF) \$(AD_TAF_FLAGS) \$(TAF_EXTRA) ad_input_code.$FS          \$(TAF) \$(AD_TAF_FLAGS) \$(TAF_EXTRA) ad_input_code.$FS
2781            ls -l ad_input_code_ad.$FS
2782          cat ad_input_code_ad.$FS | sed -f \$(TOOLSDIR)/adjoint_sed > ad_taf_output.$FS          cat ad_input_code_ad.$FS | sed -f \$(TOOLSDIR)/adjoint_sed > ad_taf_output.$FS
2783    
2784  adtafonly:  adtafonly:
2785          \$(TAF) \$(AD_TAF_FLAGS) \$(TAF_EXTRA) ad_input_code.$FS          \$(TAF) \$(AD_TAF_FLAGS) \$(TAF_EXTRA) ad_input_code.$FS
2786            ls -l ad_input_code_ad.$FS
2787          cat ad_input_code_ad.$FS | sed -f \$(TOOLSDIR)/adjoint_sed > ad_taf_output.$FS          cat ad_input_code_ad.$FS | sed -f \$(TOOLSDIR)/adjoint_sed > ad_taf_output.$FS
2788    
2789  \${EXE_AD}: ad_taf_output.o \$(OBJFILES)  \${EXE_AD}: ad_taf_output.o \$(OBJFILES)
# Line 2630  ftlall: ftl_taf Line 2807  ftlall: ftl_taf
2807  ftltaf: ftl_taf_output.$FS  ftltaf: ftl_taf_output.$FS
2808  ftltamc: ftl_tamc_output.$FS  ftltamc: ftl_tamc_output.$FS
2809    
2810  ftl_input_code.$FS: \$(AD_FILES) \$(HEADERFILES)  ftl_input_code.$FS: \$(AD_FILES) \$(H_SRC_FILES)
2811          @$BASH\$(TOOLSDIR)/convert_cpp_cmd2defines "Warning - this file is automatically generated - do NOT edit" -UALLOW_ADJOINT_RUN -DALLOW_TANGENTLINEAR_RUN -UALLOW_ECCO_OPTIMIZATION > ftl_config.template          @$BASH\$(TOOLSDIR)/convert_cpp_cmd2defines "Warning - this file is automatically generated - do NOT edit" -UALLOW_ADJOINT_RUN -DALLOW_TANGENTLINEAR_RUN -UALLOW_ECCO_OPTIMIZATION > ftl_config.template
2812          cmp ftl_config.template AD_CONFIG.h || cat ftl_config.template > AD_CONFIG.h          cmp ftl_config.template AD_CONFIG.h || cat ftl_config.template > AD_CONFIG.h
2813          -rm -f ftl_config.template          -rm -f ftl_config.template
2814          @make \$(F77FILES)          @make \$(F77_PP_SRC_FILES)
2815          @make \$(AD_FLOW_FILES)          @make \$(AD_FLOW_FILES)
2816          cat \$(AD_FLOW_FILES) \$(AD_FILES) > ftl_input_code.$FS          cat \$(AD_FLOW_FILES) \$(AD_FILES) > ftl_input_code.$FS
2817    
2818  ftl_taf_output.$FS: ftl_input_code.$FS  ftl_taf_output.$FS: ftl_input_code.$FS
2819          \$(TAF) \$(FTL_TAF_FLAGS) \$(TAF_EXTRA) ftl_input_code.$FS          \$(TAF) \$(FTL_TAF_FLAGS) \$(TAF_EXTRA) ftl_input_code.$FS
2820            ls -l ftl_input_code_ftl.$FS
2821          cat ftl_input_code_ftl.$FS | sed -f \$(TOOLSDIR)/adjoint_sed > ftl_taf_output.$FS          cat ftl_input_code_ftl.$FS | sed -f \$(TOOLSDIR)/adjoint_sed > ftl_taf_output.$FS
2822    
2823  ftltafonly:  ftltafonly:
2824          \$(TAF) \$(FTL_TAF_FLAGS) \$(TAF_EXTRA) ftl_input_code.$FS          \$(TAF) \$(FTL_TAF_FLAGS) \$(TAF_EXTRA) ftl_input_code.$FS
2825            ls -l ftl_input_code_ftl.$FS
2826          cat ftl_input_code_ftl.$FS | sed -f \$(TOOLSDIR)/adjoint_sed > ftl_taf_output.$FS          cat ftl_input_code_ftl.$FS | sed -f \$(TOOLSDIR)/adjoint_sed > ftl_taf_output.$FS
2827    
2828  ftl_taf: ftl_taf_output.o \$(OBJFILES)  ftl_taf: ftl_taf_output.o \$(OBJFILES)
# Line 2681  svd_touch: Line 2860  svd_touch:
2860          cmp ftl_config.template AD_CONFIG.h || cat ftl_config.template > AD_CONFIG.h          cmp ftl_config.template AD_CONFIG.h || cat ftl_config.template > AD_CONFIG.h
2861          -rm -f ftl_config.template          -rm -f ftl_config.template
2862    
2863  #=========================================  EOF
2864    
2865    fi
2866    
2867    #===  for OpenAD  ========================
2868    
2869    if test "x$OPENAD" != x ; then
2870    
2871    # ============ begin OpenAD specific section ==============
2872    
2873    cat >>$MAKEFILE <<EOF
2874    
2875    # all the source files linked from the various locations:
2876    ALL_LINKED_FILES= \
2877    \$(F77_SRC_FILES) \
2878    \$(C_SRC_FILES) \
2879    \$(H_SRC_FILES) \
2880    \$(F90_SRC_FILES) \
2881    \$(SPECIAL_FILES)
2882    
2883    ifndef OPENADROOT
2884      \$(error "Error:  environment variable OPENADROOT not defined!")
2885    endif
2886    
2887    ifndef XAIFSCHEMAROOT
2888      \$(error "Error:  environment variable XAIFSCHEMAROOT not defined!")
2889    endif
2890    
2891    ifndef XAIFBOOSTERROOT
2892      \$(error "Error:  environment variable XAIFBOOSTERROOT not defined!")
2893    endif
2894    
2895  EOF  EOF
2896    
2897    echo "  Add the source list for common block to module conversion "
2898    echo >> $MAKEFILE
2899    printf "CB2M_F90_SRC_NAMES = " >> $MAKEFILE
2900    for i in `cat ${OAD_CB2M_FILES}` ; do
2901      echo    " \\" >> $MAKEFILE
2902      printf " $i" >> $MAKEFILE
2903    done
2904    echo >> $MAKEFILE
2905    
2906    echo "  Add the source list for AD code generation"
2907    echo >> $MAKEFILE
2908    printf "AD_FILES = " >> $MAKEFILE
2909    for i in `cat ${OAD_CB2M_FILES}` ; do
2910      echo    " \\" >> $MAKEFILE
2911      printf " ${i}_mod.f$FS90" >> $MAKEFILE
2912    done
2913    AD_FILES=`cat adSrcFiles.tmp`
2914    for i in $AD_FILES ; do
2915      basename=${i%%.f}
2916      toBeIgnored=`egrep ^$basename'[      ]*' ${OAD_DONT_COMPILE} ${OAD_DONT_TRANSFORM}`
2917      if test -z "$toBeIgnored" ; then
2918        echo    " \\" >> $MAKEFILE
2919        printf " $i" >> $MAKEFILE
2920      fi
2921    done
2922    echo >> $MAKEFILE
2923    rm -f adSrcFiles.tmp
2924    
2925    cat >>$MAKEFILE <<EOF
2926    
2927    adAll: \$(EXE_AD)
2928    .PHONY: adAll
2929    
2930    CB2M_F90_PP_SRC_FILES=\$(addsuffix _mod.f$FS90, \$(CB2M_F90_SRC_NAMES))
2931    
2932    .PRECIOUS: \$(CB2M_F90_PP_SRC_FILES) \$(NON_AD_F77_SRC_FILES:.F=_cb2m.f$FS90)
2933    
2934    .PHONY: adDepend
2935    adDepend: \$(ALL_LINKED_FILES) \$(addsuffix _mod.h, \$(CB2M_F90_SRC_NAMES)) \$(addsuffix _mod.FF90, \$(CB2M_F90_SRC_NAMES)) \$(F77_SRC_FILES:.F=_cb2m.FF90)
2936            \$(MAKEDEPEND) -o .$FS \$(DEFINES) \$(INCLUDES) \$(F77_SRC_FILES)
2937            \$(TOOLSDIR)/f90mkdepend >> \$(MAKEFILE)
2938            -rm -f makedepend.out
2939    
2940    OPENAD_SUPPORT_F90_SRC_FILES = \
2941    w2f__types.F90 \
2942    OAD_active.F90 \
2943    OAD_cp.F90 \
2944    OAD_rev.F90 \
2945    OAD_tape.F90        
2946    
2947    OPENAD_SUPPORT_C_SRC_FILES = \
2948    iaddr.c \
2949    timeRatio.c
2950    
2951    f95_test_mods.f90: \$(OPENAD_SUPPORT_F90_SRC_FILES:F90=$FS90)
2952            cat \$^ > \$@
2953    
2954    f95_test.f90: all_mods.xb.x2w.w2f.pp.f$FS90 \$(NON_AD_F77_SRC_FILES:.F=_cb2m.f$FS90) ad_input_code.w2f.pre.xb.x2w.w2f.td.pp.f$FS90
2955            cat \$^ > \$@
2956    
2957    f95_test.out: f95_test_mods.f90 f95_test.f90
2958            f95 -fixed -w=unused -maxcontin=132 -c f95_test_mods.f90 > \$@ 2>&1
2959            f95 -fixed -w=unused -maxcontin=132 -c -fixed f95_test.f90 >> \$@ 2>&1
2960    
2961    AD_OBJ_FILES=\$(OPENAD_SUPPORT_F90_SRC_FILES:.F90=.o) \$(OPENAD_SUPPORT_C_SRC_FILES:.c=.o) all_mods.xb.x2w.w2f.pp.o  ad_input_code.w2f.pre.xb.x2w.w2f.td.pp.o \$(NON_AD_F77_SRC_FILES:.F=_cb2m.o) \$(C_SRC_FILES:.c=.o) \$(F90_SRC_FILES:.F90=.o)
2962    
2963    \$(EXE_AD): \$(ALL_LINKED_FILES) \$(addsuffix _mod.h, \$(CB2M_F90_SRC_NAMES)) \$(AD_OBJ_FILES)
2964            @echo Creating \$@ ...
2965            \$(LINK) -o \$@ \$(FFLAGS) \$(FOPTIM) \$(AD_OBJ_FILES) \$(LIBS)
2966    
2967    # makefile debug rule
2968    openad: ad_input_code.w2f.pre.xb.x2w.w2f.td.pp.f$FS90
2969    .PHONY: openad
2970    
2971    # create the module files
2972    %_mod.FF90 : %.h ../OAD_support/cb2mGetModules.csh ../OAD_support/cb2mGetModules.awk
2973            ../OAD_support/cb2mGetModules.csh $< ../OAD_support/cb2mGetModules.awk
2974    
2975    # create the header files
2976    %_mod.h : %.h ../OAD_support/cb2mGetHeaders.csh ../OAD_support/cb2mGetHeaders.awk
2977            ../OAD_support/cb2mGetHeaders.csh $< ../OAD_support/cb2mGetHeaders.awk \$(CB2M_F90_SRC_NAMES)
2978    
2979    # change everybody else to use the new module files:
2980    %_cb2m.FF90 : %.F ../OAD_support/cb2mUseModules.bash
2981            ../OAD_support/cb2mUseModules.bash $< ${MPI}
2982    
2983    # makefile debug rule
2984    small_f: \$(CB2M_F90_PP_SRC_FILES)
2985    .PHONY: small_f
2986    
2987    ad_output.txt: \$(EXE_AD)
2988            @printf 'linking data files ... '
2989            \$(LN) -f ../input_ad/data* ../input_ad/eedata .
2990            \$(LN) -f ../../global_ocean.90x40x15/input/*.bin .
2991            @printf 'running ... '
2992            @./\$(EXE_AD) > \$@
2993    
2994    CB2M_AD_FILES=\$(AD_FILES:.f=_cb2m.f$FS90)
2995    ad_input_code.f$FS90: ../OAD_support/maxMinDefs.f \$(CB2M_AD_FILES)
2996            cat \$^ > \$@
2997    
2998    # strip all comments and blanks to reduce
2999    # the file size in order to reduce perl's memory requirements
3000    ad_input_code_sf.f$FS90 : ad_input_code.f$FS90
3001            cat \$^ | sed -f ../OAD_support/strip.sed | sed -f ../OAD_support/stop2print.sed > \$@
3002    
3003    # mfef90 preprocessing
3004    # expand statement functions
3005    # expose mfef90 specific substring handling
3006    # add the w2f__types module
3007    ad_input_code_sf.w2f.f$FS90: ad_input_code_sf.f$FS90 mfef90 whirl2f whirl2f_be w2f__types.f90
3008            ./mfef90 -r8 -z -F -N132 \$<
3009            mv \$<.B \$(basename \$<).B
3010            ./whirl2f -openad \$(basename \$<).B
3011            cat w2f__types.f90 \$(basename \$<).w2f.f > \$@
3012    
3013    # canonicalizer
3014    ad_input_code_sf.w2f.pre.f$FS90: ad_input_code_sf.w2f.f$FS90 preProcess.py
3015            ./preProcess.py -H -S \$< -o \$@
3016    
3017    # F -> WHIRL
3018    # note that the canonicalized version cuts off at col 72
3019    # doing this also for string constants which is ok as long
3020    # as we are in fixed mode and cut of exactly there.
3021    # Otherwise mfef90 patches in spaces to fill up to 72 (or 132)
3022    # characters respectively.
3023    ad_input_code_sf.w2f.pre.B: ad_input_code_sf.w2f.pre.f$FS90 mfef90
3024            ./mfef90 -r8 -z -F \$<
3025            mv \$<.B \$@
3026    
3027    # WHIRL -> XAIF
3028    ad_input_code_sf.w2f.pre.xaif : ad_input_code_sf.w2f.pre.B whirl2xaif
3029            ./whirl2xaif -s -n --debug 1 -o \$@ \$<
3030    
3031    # XAIF -> XAIF'
3032    ad_input_code_sf.w2f.pre.xb.xaif : ad_input_code_sf.w2f.pre.xaif xaif.xsd xaif_base.xsd xaif_inlinable_intrinsics.xsd xaif_derivative_propagator.xsd xaif_output.xsd oadDriver
3033            ./oadDriver -f -t forward_step -i \$< -c \${XAIFSCHEMAROOT}/schema/examples/inlinable_intrinsics.xaif -o \$@ -I -r
3034    
3035    # XAIF' -> WHIRL'
3036    ad_input_code_sf.w2f.pre.xb.x2w.B : ad_input_code_sf.w2f.pre.xb.xaif xaif2whirl
3037            ./xaif2whirl --debug 1 --structured ad_input_code_sf.w2f.pre.B \$<
3038    
3039    # WHIRL' -> F'
3040    ad_input_code_sf.w2f.pre.xb.x2w.w2f.f$FS90: ad_input_code_sf.w2f.pre.xb.x2w.B whirl2f whirl2f_be
3041            ./whirl2f -FLIST:ftn_file=\$@ -openad \$<
3042    
3043    # insert template directives
3044    ad_input_code_sf.w2f.pre.xb.x2w.w2f.td.f$FS90: ad_input_code_sf.w2f.pre.xb.x2w.w2f.f$FS90 ../OAD_support/insertTemplateDir.bash
3045            ../OAD_support/insertTemplateDir.bash \$< \$@
3046    
3047    # postprocess F'
3048    ad_input_code_sf.w2f.pre.xb.x2w.w2f.td.pp.f$FS90: ad_input_code_sf.w2f.pre.xb.x2w.w2f.td.f$FS90 multi-pp.pl ../OAD_support/ad_inline.f \$(wildcard ../OAD_support/ad_template.*.f)
3049            perl multi-pp.pl -inline=../OAD_support/ad_inline.f \$<
3050            # the postprocessor still gets the name wrong
3051            cat \$<.pp | sed 's/RETURN//' > \$@
3052    
3053    # extract all transformed modules
3054    all_mods.xb.x2w.w2f.pp.f$FS90: ad_input_code_sf.w2f.pre.xb.x2w.w2f.td.pp.f$FS90
3055            cat \$< | sed -n '/MODULE /,/END MODULE/p' > \$@
3056    
3057    # remove the transformed globals module from the
3058    # transformed ad_input_code file
3059    # and remove for now the duplicate variables
3060    # and fix 2 data statements
3061    ad_input_code.w2f.pre.xb.x2w.w2f.td.pp.f$FS90: ad_input_code_sf.w2f.pre.xb.x2w.w2f.td.pp.f$FS90
3062            cat \$< | sed '/MODULE /,/END MODULE/d' | sed '/^      INTEGER(w2f__i4) DOLOOP_UB/d' > \$@
3063    
3064    # setup some links
3065    %.xsd:
3066            \$(LN) \${XAIFSCHEMAROOT}/schema/\$@ .
3067    
3068    mfef90:
3069            \$(LN) \${OPEN64ROOT}/crayf90/sgi/mfef90 .
3070    
3071    # link the support files:
3072    \$(OPENAD_SUPPORT_F90_SRC_FILES) \$(OPENAD_SUPPORT_C_SRC_FILES):
3073            \$(LN) ../OAD_support/\$@ .
3074    
3075    whirl2xaif xaif2whirl:
3076            \$(LN) \${OPENADFORTTK}/bin/\$@ .
3077    
3078    %.pl:
3079            \$(LN) \${OPENADFORTTK}/bin/\$@ .
3080    
3081    preProcess.py:
3082            \$(LN) \${OPENADFORTTK_BASE}/tools/SourceProcessing/\$@ .
3083    
3084    whirl2f whirl2f_be:
3085            \$(LN) \${OPEN64ROOT}/whirl2f/\$@ .
3086    
3087    oadDriver:
3088            \$(LN) \${XAIFBOOSTERROOT}/xaifBooster/algorithms/BasicBlockPreaccumulationReverse/driver/oadDriver \$@
3089    
3090    # ============ end OpenAD specific section ==============
3091    
3092    EOF
3093    
3094    fi
3095    
3096    #=========================================
3097    
3098  if test "x$EXEHOOK" != x ; then  if test "x$EXEHOOK" != x ; then
3099      printf "\nexehook:\n\t%s\n" $EXEHOOK >> $MAKEFILE      printf "\nexehook:\n\t%s\n" $EXEHOOK >> $MAKEFILE
3100  fi  fi

Legend:
Removed from v.1.157  
changed lines
  Added in v.1.183

  ViewVC Help
Powered by ViewVC 1.1.22