/[MITgcm]/MITgcm/pkg/autodiff/adread_adwrite.F
ViewVC logotype

Log of /MITgcm/pkg/autodiff/adread_adwrite.F

Parent Directory Parent Directory | Revision Log Revision Log | View Revision Graph Revision Graph


Links to HEAD: (view) (download) (annotate)
Links to checkpoint64: (view) (download) (annotate)
Sticky Tag:

Revision 1.20 - (view) (download) (annotate) - [select for diffs]
Tue Aug 21 14:06:26 2012 UTC (11 years, 9 months ago) by gforget
Branch: MAIN
CVS Tags: checkpoint63s, checkpoint64, checkpoint64a, checkpoint64b, checkpoint64c, checkpoint64d, checkpoint64e, checkpoint64f
Changes since 1.19: +5 -4 lines
Diff to previous 1.19

- autodiff_check.F : remove stop for "useSingleCpuIO .AND. useGrdchk",
  add a warning for useSingleCpuIO.
- adread_adwrite.F : convert warning to stop when tape is not written.
- autodiff_ini_model_io.F : tapeMaxCounter = nWh (they need to be consistent).


Revision 1.19 - (view) (download) (annotate) - [select for diffs]
Tue Jul 31 16:04:39 2012 UTC (11 years, 10 months ago) by heimbach
Branch: MAIN
CVS Tags: checkpoint63r
Changes since 1.18: +12 -2 lines
Diff to previous 1.18
Clean stop (and say why)


Revision 1.18 - (view) (download) (annotate) - [select for diffs]
Sat Jul 7 00:09:49 2012 UTC (11 years, 11 months ago) by jmc
Branch: MAIN
CVS Tags: checkpoint63q
Changes since 1.17: +1 -2 lines
Diff to previous 1.17
remove PACKAGES_CONFIG.h (already included from ${PKG}_OPTIONS.h file)


Revision 1.17 - (view) (download) (annotate) - [select for diffs]
Tue Jun 7 20:06:32 2011 UTC (13 years ago) by jmc
Branch: MAIN
CVS Tags: checkpoint62z, checkpoint63, checkpoint63a, checkpoint63b, checkpoint63c, checkpoint63d, checkpoint63e, checkpoint63f, checkpoint63g, checkpoint63h, checkpoint63i, checkpoint63j, checkpoint63k, checkpoint63l, checkpoint63m, checkpoint63n, checkpoint63o, checkpoint63p
Changes since 1.16: +16 -20 lines
Diff to previous 1.16
test debugMode (instead of debugLevel) to print DEBUG_CALL messages


Revision 1.16 - (view) (download) (annotate) - [select for diffs]
Fri Jan 21 22:02:50 2011 UTC (13 years, 4 months ago) by gforget
Branch: MAIN
CVS Tags: checkpoint62r, checkpoint62s, checkpoint62t, checkpoint62u, checkpoint62v, checkpoint62w, checkpoint62x, checkpoint62y
Changes since 1.15: +5 -5 lines
Diff to previous 1.15
- pkg/mdsio: introducing ALLOW_WHIO_3D (sub-option of ALLOW_WHIO)
  to bufferize the I/O (stack 2D fields up then write 3D field).
- this option is mostly meant to be used when NOT singlecpuio;
  to actually use it with singlecpuio (beware of memory overhead)
  you also need to define INCLUDE_WHIO_GLOBUFF_3D.
- locBufferIO is added to the list of mds_*_whalos.F params, and
  should be set to .TRUE. to exploit the ALLOW_WHIO_3D capability.

- pkg/autodiff: use this approach as the WHTAPEIO default.


Revision 1.15 - (view) (download) (annotate) - [select for diffs]
Fri Jan 21 01:19:03 2011 UTC (13 years, 4 months ago) by gforget
Branch: MAIN
Changes since 1.14: +28 -6 lines
Diff to previous 1.14
- within WHTAPEIO, if tapeConcatIO then concatenate
  all lev2 tapes to one file, and lev3/lev4 accordingly.
  Those files (e.g tapes2.data) stay open all the way.
- to do this I add WHTAPEIO parameters in AUTODIFF.h, and
  introduce S/R autodiff_whtapeio_sync.F

- WHTAPEIO params are intialized in autodiff_ini_model_io.F
- autodiff_whtapeio_sync is called before autodiff_store and
  after autodiff_restore in the_main_loop.F
- autodiff_whtapeio_sync.F first open tape files if needed,
  and then it sets/resets the associated units/counters.
- adread_adwrite.F now passes tapeFileUnit and tapeSingleCpuIO to
  mdsio_read(write)_whalos.F, and it includes the loop over 2D slices.


Revision 1.14 - (view) (download) (annotate) - [select for diffs]
Wed Jan 19 23:20:25 2011 UTC (13 years, 4 months ago) by gforget
Branch: MAIN
Changes since 1.13: +3 -3 lines
Diff to previous 1.13
- pkg/autodiff: checkpoint_lev2_directives.h etc.
	bug fix
- pkg/mdsio: mdsio_write_whalos.F/mdsio_read_whalos.F
	remove mdsioLocalDir and useSingleCpuIO (to handle those externally)
	pass locSingleCPUIO as a parameter (that may be useSingleCpuIO)
	if non zero file id is provided, then omit file opening/closing
- pkg/autodiff: adread_adwrite.F
	pass useSingleCpuIO as the locSingleCPUIO parameter


Revision 1.13 - (view) (download) (annotate) - [select for diffs]
Mon Dec 6 10:51:56 2010 UTC (13 years, 6 months ago) by mlosch
Branch: MAIN
CVS Tags: checkpoint62p, checkpoint62q
Changes since 1.12: +68 -48 lines
Diff to previous 1.12
- modify fname so that it is now adTapeDir/name for both
ALLOW_AUTODIFF_WHTAPEIO defined and undefined.
- remove unused variables


Revision 1.12 - (view) (download) (annotate) - [select for diffs]
Tue Oct 19 22:00:57 2010 UTC (13 years, 7 months ago) by gforget
Branch: MAIN
CVS Tags: checkpoint62m, checkpoint62n, checkpoint62o
Changes since 1.11: +3 -3 lines
Diff to previous 1.11
bug fix.


Revision 1.11 - (view) (download) (annotate) - [select for diffs]
Fri Sep 24 23:22:20 2010 UTC (13 years, 8 months ago) by gforget
Branch: MAIN
CVS Tags: checkpoint62l
Changes since 1.10: +63 -11 lines
Diff to previous 1.10
o pkg/autodiff: ALLOW_AUTODIFF_WHTAPEIO
 Option to use mdsio_read_whalos.F/mdsio_write_whalos.F,
 rather than mdsio_readvector.F/mdsio_writevector.F, within
 adread_adwrite.F to read/write adjoint-related tapes to disk.


Revision 1.10 - (view) (download) (annotate) - [select for diffs]
Fri Feb 13 15:02:00 2009 UTC (15 years, 4 months ago) by heimbach
Branch: MAIN
CVS Tags: checkpoint61i, checkpoint61j, checkpoint61k, checkpoint61l, checkpoint61m, checkpoint61n, checkpoint61o, checkpoint61p, checkpoint61q, checkpoint61r, checkpoint61s, checkpoint61t, checkpoint61u, checkpoint61v, checkpoint61w, checkpoint61x, checkpoint61y, checkpoint61z, checkpoint62, checkpoint62a, checkpoint62b, checkpoint62c, checkpoint62d, checkpoint62e, checkpoint62f, checkpoint62g, checkpoint62h, checkpoint62i, checkpoint62j, checkpoint62k
Changes since 1.9: +27 -12 lines
Diff to previous 1.9
Add flag to reduce all tapelev I/O to single-prec.
In data.ctrl set
 doSinglePrecTapelev = .TRUE.


Revision 1.9 - (view) (download) (annotate) - [select for diffs]
Wed Sep 24 19:35:06 2008 UTC (15 years, 8 months ago) by jmc
Branch: MAIN
CVS Tags: checkpoint61d, checkpoint61e, checkpoint61f, checkpoint61g, checkpoint61h
Changes since 1.8: +3 -3 lines
Diff to previous 1.8
fix a write format (failed to compile with gfortran)


Revision 1.8 - (view) (download) (annotate) - [select for diffs]
Tue Sep 23 06:13:59 2008 UTC (15 years, 8 months ago) by heimbach
Branch: MAIN
Changes since 1.7: +5 -1 lines
Diff to previous 1.7
Modify checkpointing to fit large mdsio vector stores to file
(partly revert to individual field storing rather than to
StoreDynVars2, StoreDynVars3D for large tile sizes).


Revision 1.7 - (view) (download) (annotate) - [select for diffs]
Thu Sep 18 05:03:00 2008 UTC (15 years, 8 months ago) by heimbach
Branch: MAIN
Changes since 1.6: +43 -1 lines
Diff to previous 1.6
Add some debug diagnostic.


Revision 1.6 - (view) (download) (annotate) - [select for diffs]
Mon Oct 8 23:50:53 2007 UTC (16 years, 8 months ago) by jmc
Branch: MAIN
CVS Tags: checkpoint59i, checkpoint59j, checkpoint59k, checkpoint59l, checkpoint59m, checkpoint59n, checkpoint59o, checkpoint59p, checkpoint59q, checkpoint59r, checkpoint60, checkpoint61, checkpoint61a, checkpoint61b, checkpoint61c
Changes since 1.5: +11 -9 lines
Diff to previous 1.5
add missing cvs $Header:$ or $Name:$


Revision 1.5 - (view) (download) (annotate) - [select for diffs]
Tue Jan 10 17:37:21 2006 UTC (18 years, 5 months ago) by heimbach
Branch: MAIN
CVS Tags: checkpoint58, checkpoint58a_post, checkpoint58b_post, checkpoint58c_post, checkpoint58d_post, checkpoint58e_post, checkpoint58f_post, checkpoint58g_post, checkpoint58h_post, checkpoint58i_post, checkpoint58j_post, checkpoint58k_post, checkpoint58l_post, checkpoint58m_post, checkpoint58n_post, checkpoint58o_post, checkpoint58p_post, checkpoint58q_post, checkpoint58r_post, checkpoint58s_post, checkpoint58t_post, checkpoint58u_post, checkpoint58v_post, checkpoint58w_post, checkpoint58x_post, checkpoint58y_post, checkpoint59, checkpoint59a, checkpoint59b, checkpoint59c, checkpoint59d, checkpoint59e, checkpoint59f, checkpoint59g, checkpoint59h
Changes since 1.4: +6 -4 lines
Diff to previous 1.4
o clean up char. length


Revision 1.4 - (view) (download) (annotate) - [select for diffs]
Thu Oct 9 04:19:19 2003 UTC (20 years, 8 months ago) by edhill
Branch: MAIN
CVS Tags: branch-netcdf, checkpint57u_post, checkpoint51i_post, checkpoint51k_post, checkpoint51l_post, checkpoint51l_pre, checkpoint51m_post, checkpoint51n_post, checkpoint51n_pre, checkpoint51o_post, checkpoint51o_pre, checkpoint51p_post, checkpoint51q_post, checkpoint51r_post, checkpoint51s_post, checkpoint51t_post, checkpoint51u_post, checkpoint52, checkpoint52a_post, checkpoint52a_pre, checkpoint52b_post, checkpoint52b_pre, checkpoint52c_post, checkpoint52d_post, checkpoint52d_pre, checkpoint52e_post, checkpoint52e_pre, checkpoint52f_post, checkpoint52f_pre, checkpoint52h_pre, checkpoint52i_post, checkpoint52i_pre, checkpoint52j_post, checkpoint52j_pre, checkpoint52k_post, checkpoint52l_post, checkpoint52l_pre, checkpoint52m_post, checkpoint52n_post, checkpoint53, checkpoint53a_post, checkpoint53b_post, checkpoint53b_pre, checkpoint53c_post, checkpoint53d_post, checkpoint53d_pre, checkpoint53f_post, checkpoint53g_post, checkpoint54, checkpoint54a_post, checkpoint54a_pre, checkpoint54b_post, checkpoint54c_post, checkpoint54d_post, checkpoint54e_post, checkpoint54f_post, checkpoint55, checkpoint55a_post, checkpoint55b_post, checkpoint55c_post, checkpoint55d_post, checkpoint55d_pre, checkpoint55e_post, checkpoint55f_post, checkpoint55g_post, checkpoint55h_post, checkpoint55i_post, checkpoint55j_post, checkpoint56, checkpoint56a_post, checkpoint56b_post, checkpoint56c_post, checkpoint57, checkpoint57a_post, checkpoint57a_pre, checkpoint57b_post, checkpoint57c_post, checkpoint57c_pre, checkpoint57d_post, checkpoint57e_post, checkpoint57f_post, checkpoint57f_pre, checkpoint57g_post, checkpoint57g_pre, checkpoint57h_done, checkpoint57h_post, checkpoint57h_pre, checkpoint57i_post, checkpoint57j_post, checkpoint57k_post, checkpoint57l_post, checkpoint57m_post, checkpoint57n_post, checkpoint57o_post, checkpoint57p_post, checkpoint57q_post, checkpoint57r_post, checkpoint57s_post, checkpoint57t_post, checkpoint57v_post, checkpoint57w_post, checkpoint57x_post, checkpoint57y_post, checkpoint57y_pre, checkpoint57z_post, ecco_c52_e35, eckpoint57e_pre, hrcube4, hrcube5, hrcube_1, hrcube_2, hrcube_3
Branch point for: branch-nonh, checkpoint51n_branch, netcdf-sm0, tg2-branch
Changes since 1.3: +1 -1 lines
Diff to previous 1.3
 o first check-in for the "branch-genmake2" merge
 o verification suite as run on shelley (gcc 3.2.2):

Wed Oct  8 23:42:29 EDT 2003
                T           S           U           V
G D M    c        m  s        m  s        m  s        m  s
E p a R  g  m  m  e  .  m  m  e  .  m  m  e  .  m  m  e  .
N n k u  2  i  a  a  d  i  a  a  d  i  a  a  d  i  a  a  d
2 d e n  d  n  x  n  .  n  x  n  .  n  x  n  .  n  x  n  .

OPTFILE=NONE

Y Y Y Y 13 16 16 16  0 16 16 16 16 16 16 16 16 13 12  0  0 pass  adjustment.128x64x1
Y Y Y Y 16 16 16 16  0 16 16 16 16 16 16  0  0 16 16  0  0 pass  adjustment.cs-32x32x1
Y Y Y Y 16 16 16 16  0 16 16 16 16 16 16 22  0 16 16 22  0 pass  adjust_nlfs.cs-32x32x1
Y Y Y Y -- 13 13 16 16 13 13 13 13 16 16 16 16 16 16 16 16 N/O   advect_cs
Y Y Y Y -- 22 16 16 16 16 16 16 13 16 16 16 16 16 16 16 16 N/O   advect_xy
Y Y Y Y -- 13 16 13 16 16 16 16 16 16 16 22 16 16 16 16 16 N/O   advect_xz
Y Y Y Y 16 16 16 16 16 16 16 16 16 16 16 16 16 16 16 16 16 pass  aim.5l_cs
Y Y Y Y 14 16 16 16 16 16 16 16 16 13 16 16 16 16 16 13 16 pass  aim.5l_Equatorial_Channel
Y Y Y Y 16 16 16 16 16 16 16 16 16 16 16 13 16 16 13 13 16 pass  aim.5l_LatLon
Y Y Y Y 13 16 16 16 16 16 16 16 16 16 13 12 13 13 16 13 16 pass  exp0
Y Y Y Y 14 16 16 16 16 16 16 16 22 16 16 16 13 16 16 22 16 pass  exp1
Y Y Y Y 13 13 16 13 16 16 16 16 16 13 13 16 16 13 13 13 13 pass  exp2
Y Y Y Y 16 16 16 16 16 16 16 16 22 16 16 16 16 16 16 16 16 pass  exp4
Y Y Y Y 16 16 16 16 16 16 16 16 16 16 16 22 16 16 16 22 16 pass  exp5
Y Y Y Y 16 16 16 16 16 16 16 16 16 16 16 16 16 16 16 16 16 pass  front_relax
Y Y Y Y 14 16 16 13 13 16 16 13 13 16 13 13 16 12 13 13 16 pass  global_ocean.90x40x15
Y Y Y Y 10 16 16 13 13 16 13 16 16 13 13 13 13 16 16 13 16 FAIL  global_ocean.cs32x15
Y Y Y Y  6 11 12 13 13 12 13 16 13  9  9  9  9 10  9  9 11 FAIL  global_ocean_pressure
Y Y Y Y 14 16 16 13 16 16 16 13 13 13 13 13 16 12 16 13 16 pass  global_with_exf
Y Y Y Y 14 16 16 16 16 16 16 16 16 11 13 22 13 16 16  9 16 pass  hs94.128x64x5
Y Y Y Y 13 16 16 16 16 16 16 16 16 11 16 16 16 13 16 22 13 pass  hs94.1x64x5
Y Y Y Y 14 16 16 16 16 16 16 16 16 13 16 13 13 16 16 22 13 pass  hs94.cs-32x32x5
Y Y Y Y 10 10 16 13 13 16 16 16 22 16 13 13 13 13 13 22 13 FAIL  ideal_2D_oce
Y Y Y Y  8 16 16 16 16 16 16 16 16 13 13  8 16 16 16 16 16 FAIL  internal_wave
Y Y Y Y 14 16 16 16 16 16 16 16 16 13 13 22 13 13 13 22 16 pass  inverted_barometer
Y Y Y Y 12 16 16 16 16 16 16 16 16 16 13 12 13 13 13 13 13 FAIL  lab_sea
Y Y Y Y 11 16 16 16 16 16 16 16 13 13 13 12 13 16 13 12 13 FAIL  natl_box
Y Y Y Y 16 16 16 16 16 16 16 16 22 16 16 16 16 16 16 16 16 pass  plume_on_slope
Y Y Y Y 13 16 16 16 16 13 16 16 16 16 16 16 16 13 16 16 16 pass  solid-body.cs-32x32x1


Revision 1.3 - (view) (download) (annotate) - [select for diffs]
Fri Sep 28 04:19:27 2001 UTC (22 years, 8 months ago) by heimbach
Branch: MAIN
CVS Tags: branch-exfmods-tag, branchpoint-genmake2, c49_autodiff, checkpoint43, checkpoint43a-release1mods, checkpoint44, checkpoint44b_post, checkpoint44b_pre, checkpoint44e_post, checkpoint44e_pre, checkpoint44f_post, checkpoint44f_pre, checkpoint44g_post, checkpoint44h_post, checkpoint44h_pre, checkpoint45, checkpoint45a_post, checkpoint45b_post, checkpoint45c_post, checkpoint45d_post, checkpoint46, checkpoint46a_post, checkpoint46a_pre, checkpoint46b_post, checkpoint46b_pre, checkpoint46c_post, checkpoint46c_pre, checkpoint46d_post, checkpoint46d_pre, checkpoint46e_post, checkpoint46e_pre, checkpoint46f_post, checkpoint46g_post, checkpoint46g_pre, checkpoint46h_post, checkpoint46h_pre, checkpoint46i_post, checkpoint46j_post, checkpoint46j_pre, checkpoint46k_post, checkpoint46l_post, checkpoint46l_pre, checkpoint46m_post, checkpoint46n_post, checkpoint47, checkpoint47a_post, checkpoint47b_post, checkpoint47c_post, checkpoint47d_post, checkpoint47d_pre, checkpoint47e_post, checkpoint47f_post, checkpoint47g_post, checkpoint47h_post, checkpoint47i_post, checkpoint47j_post, checkpoint48, checkpoint48a_post, checkpoint48b_post, checkpoint48c_post, checkpoint48c_pre, checkpoint48d_post, checkpoint48d_pre, checkpoint48e_post, checkpoint48f_post, checkpoint48g_post, checkpoint48h_post, checkpoint48i_post, checkpoint49, checkpoint50, checkpoint50a_post, checkpoint50b_post, checkpoint50b_pre, checkpoint50c_post, checkpoint50c_pre, checkpoint50d_post, checkpoint50d_pre, checkpoint50e_post, checkpoint50e_pre, checkpoint50f_post, checkpoint50f_pre, checkpoint50g_post, checkpoint50h_post, checkpoint50i_post, checkpoint51, checkpoint51a_post, checkpoint51b_post, checkpoint51b_pre, checkpoint51c_post, checkpoint51d_post, checkpoint51e_post, checkpoint51f_post, checkpoint51f_pre, checkpoint51g_post, checkpoint51h_pre, checkpoint51i_pre, checkpoint51j_post, chkpt44a_post, chkpt44a_pre, chkpt44c_post, chkpt44c_pre, chkpt44d_post, ecco-branch-mod1, ecco-branch-mod2, ecco-branch-mod3, ecco-branch-mod4, ecco-branch-mod5, ecco_c44_e16, ecco_c44_e17, ecco_c44_e18, ecco_c44_e19, ecco_c44_e20, ecco_c44_e21, ecco_c44_e22, ecco_c44_e23, ecco_c44_e24, ecco_c44_e25, ecco_c44_e26, ecco_c44_e27, ecco_c50_e28, ecco_c50_e29, ecco_c50_e30, ecco_c50_e31, ecco_c50_e32, ecco_c50_e33, ecco_c50_e33a, ecco_c51_e34, ecco_c51_e34a, ecco_c51_e34b, ecco_c51_e34c, ecco_c51_e34d, ecco_c51_e34e, ecco_c51_e34f, ecco_c51_e34g, ecco_ice1, ecco_ice2, icebear2, icebear3, icebear4, icebear5, release1-branch-end, release1-branch_branchpoint, release1-branch_tutorials, release1_b1, release1_beta1, release1_chkpt44d_post, release1_final_v1, release1_p1, release1_p10, release1_p11, release1_p12, release1_p12_pre, release1_p13, release1_p13_pre, release1_p14, release1_p15, release1_p16, release1_p17, release1_p2, release1_p3, release1_p4, release1_p5, release1_p6, release1_p7, release1_p8, release1_p9
Branch point for: branch-exfmods-curt, branch-genmake2, c24_e25_ice, ecco-branch, icebear, release1, release1-branch, release1_50yr, release1_coupled, release1_final
Changes since 1.2: +36 -32 lines
Diff to previous 1.2
Started to add comments...


Revision 1.2 - (view) (download) (annotate) - [select for diffs]
Fri Jul 13 13:33:49 2001 UTC (22 years, 11 months ago) by heimbach
Branch: MAIN
CVS Tags: checkpoint40, checkpoint40pre2, checkpoint40pre3, checkpoint40pre4, checkpoint40pre5, checkpoint40pre6, checkpoint40pre7, checkpoint40pre8, checkpoint40pre9, checkpoint41, checkpoint42
Changes since 1.1: +2 -0 lines
Diff to previous 1.1
o Modified some flow directives
o Added new flow directives
o Added new adjoint support routines, in particular for
  new exchange routines and new packages debug/, monitor/


Revision 1.1 - (view) (download) (annotate) - [select for diffs]
Sun Mar 25 22:33:54 2001 UTC (23 years, 2 months ago) by heimbach
Branch: MAIN
CVS Tags: c37_adj, checkpoint38, checkpoint39, checkpoint40pre1
Modifications and additions to enable automatic differentiation.
Detailed info's in doc/notes_c37_adj.txt


This form allows you to request diffs between any two revisions of this file. For each of the two "sides" of the diff, select a symbolic revision name using the selection box, or choose 'Use Text Field' and enter a numeric revision.

  Diffs between and
  Type of Diff should be a

Sort log by:

  ViewVC Help
Powered by ViewVC 1.1.22